module transparport(ClkToFPGA, Reset, DataValidToFPGA, DataToFPGA, DataValidFromFPGA, DataFromFPGA); input ClkToFPGA; input Reset; input DataValidToFPGA; input DataToFPGA; output DataValidFromFPGA; output DataFromFPGA; endmodule