#PACE: Start of Constraints generated by PACE #PACE: Start of PACE I/O Pin Assignments NET "ClkToFPGA" LOC = "E15" ; NET "DataFromFPGA" LOC = "L13" ; NET "DataToFPGA" LOC = "D16" ; NET "DataValidFromFPGA" LOC = "C10" ; NET "DataValidToFPGA" LOC = "F13" ; NET "Reset" LOC = "E14" ; #PACE: Start of PACE Area Constraints #PACE: Start of PACE Prohibit Constraints #PACE: End of Constraints generated by PACE