set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports LED_0]; #IO_L23P_T3_35 Sch=LED0 set_property -dict { PACKAGE_PIN M15 IOSTANDARD LVCMOS33 } [get_ports LED_1]; #IO_L23N_T3_35 Sch=LED