set_property PACKAGE_PIN Y9 [get_ports Clk]; # "GCLK" set_property IOSTANDARD LVCMOS18 [get_ports Clk]; set_property PACKAGE_PIN P16 [get_ports RESET]; # "BTNC" set_property IOSTANDARD LVCMOS18 [get_ports RESET]; set_property PACKAGE_PIN F22 [get_ports sw[0]]; # "SW0" set_property IOSTANDARD LVCMOS18 [get_ports sw[0]]; set_property PACKAGE_PIN G22 [get_ports sw[1]]; # "SW1" set_property IOSTANDARD LVCMOS18 [get_ports sw[1]]; set_property PACKAGE_PIN H22 [get_ports sw[2]]; # "SW2" set_property IOSTANDARD LVCMOS18 [get_ports sw[2]]; set_property PACKAGE_PIN AA19 [get_ports hsync]; # "VGA-HS" set_property IOSTANDARD LVCMOS18 [get_ports hsync]; set_property PACKAGE_PIN Y19 [get_ports vsync]; # "VGA-VS" set_property IOSTANDARD LVCMOS18 [get_ports vsync]; set_property PACKAGE_PIN Y21 [get_ports vga_b1]; # "VGA-B1" set_property PACKAGE_PIN Y20 [get_ports vga_b2]; # "VGA-B2" set_property PACKAGE_PIN AB20 [get_ports vga_b3]; # "VGA-B3" set_property PACKAGE_PIN AB19 [get_ports vga_b4]; # "VGA-B4" set_property IOSTANDARD LVCMOS18 [get_ports vga_b1]; set_property IOSTANDARD LVCMOS18 [get_ports vga_b2]; set_property IOSTANDARD LVCMOS18 [get_ports vga_b3]; set_property IOSTANDARD LVCMOS18 [get_ports vga_b4]; set_property PACKAGE_PIN AB22 [get_ports vga_g1]; # "VGA-G1" set_property PACKAGE_PIN AA22 [get_ports vga_g2]; # "VGA-G2" set_property PACKAGE_PIN AB21 [get_ports vga_g3]; # "VGA-G3" set_property PACKAGE_PIN AA21 [get_ports vga_g4]; # "VGA-G4" set_property IOSTANDARD LVCMOS18 [get_ports vga_g1]; set_property IOSTANDARD LVCMOS18 [get_ports vga_g2]; set_property IOSTANDARD LVCMOS18 [get_ports vga_g3]; set_property IOSTANDARD LVCMOS18 [get_ports vga_g4]; set_property PACKAGE_PIN V20 [get_ports vga_r1]; # "VGA-R1" set_property PACKAGE_PIN U20 [get_ports vga_r2]; # "VGA-R2" set_property PACKAGE_PIN V19 [get_ports vga_r3]; # "VGA-R3" set_property PACKAGE_PIN V18 [get_ports vga_r4]; # "VGA-R4" set_property IOSTANDARD LVCMOS18 [get_ports vga_r1]; set_property IOSTANDARD LVCMOS18 [get_ports vga_r2]; set_property IOSTANDARD LVCMOS18 [get_ports vga_r3]; set_property IOSTANDARD LVCMOS18 [get_ports vga_r4];