# SGI and Ultrix combined initial .cshrc file bjd 9/3/92 # # This is the default standard .cshrc provided to csh users. # They are expected to edit it to meet their own needs. # # determine machine architecture: # set machine="`/usr/local/bin/machine`" if ( `uname` =~ IRIX ) then # SGI specific section : stty erase  alias c clear alias f frm # setup the PATH set path=($HOME/bin $HOME/bin/$machine /local/bin /usr/bsd \ /bin /usr/bin /usr/sbin \ /usr/demos/bin /usr/local/bin /usr/local/X11 \ /usr/bin/X11 /usr/local/unsupported /etc /usr/etc . ) # setenv SGI_SET_PATH "true" # set hname=`hostname | sed 's/\..*//'` if ($?prompt) then if ( -o /bin/su ) then set prompt = "`hostname | sed 's/\..*//'`[\!]# " else set prompt = "$hname-${cwd:t}:%h >" # set prompt = "`hostname`[\!] " set ignoreeof noclobber savehist=1000 endif # alias rm 'rm -i' stty intr "^C" kill "" echoe alias ls 'ls -C' endif else # Ultrix/SUN OS specific section : # # if (-f .testrc && $?prompt) then source .testrc rm .testrc endif set path=( $HOME/bin/$machine /usr/ucb /bin \ /usr/bin /usr/local/X11 /usr/local/bin \ /usr/local/doc /usr/local/unsupported /usr/etc . ) # /usr/local/doc /usr/local/unsupported /etc /usr/etc . ) if ($?prompt) then if (! $?TERM ) then setenv TERM vt100 echo $TERM endif if ($?DISPLAY) then setenv WMHOST `echo $DISPLAY | sed -e 's/\:[0-9].*//'` endif alias c clear alias f from # set prompt = "`hostname`[\!] " set hname=`hostname | sed 's/\..*//'` set prompt = "$hname-${cwd:t}:%h >" set ignoreeof savehist=1000 # set ignoreeof noclobber savehist=1000 # # Set Terminal Type # # if ($machine == "hp" ) then stty erase '^?' kill '' intr '^C' susp '^Z' endif tset -I -Q umask 077 set mail = (300 /usr/spool/mail/$USER) setenv mybin $HOME/bin/$machine setenv EDITOR /usr/ucb/vi limit coredumpsize 0 # alias rm "rm -i" alias pd pushd alias pop popd alias help apropos alias c clear # setenv PRINTER lp # change for new default printer # endif # end of Ultrix section. endif # Add your aliases common on all the systems here. alias cd 'cd \!*;set prompt="$hname-${cwd:t}:%h >"' alias pushd 'pushd \!*;set prompt="$hname-${cwd:t}:! >"' alias popd 'popd \!*;set prompt="$hname-${cwd:t}:! >"' alias pu 'pushd \!*;set prompt="$hname-${cwd:t}:! >"' alias po 'popd \!*;set prompt="$hname-${cwd:t}:! >"' alias h history alias ls "ls -Fa" alias l "ls -lFa" alias c 'clear' alias lo 'logout' alias fi 'finger' alias d 'dirs' alias h 'history' alias me 'setenv DISPLAY pcecs212-1.cs.umbc.edu:0.0' alias magic 'magic -d XWIND7' alias irsim 'irsim scmos1_6um.prm' alias reduce 'psnup -l -nup 4 -c -b4' alias pplot pplot -p $HOME/cad/magic/magic6.45/lib/pplot/pat.scmos.color -C -o #alias athome 'setenv DISPLAY cc643549-a.rdc1.md.home.com:0' alias athome 'setenv DISPLAY 24.3.20.178:0' set history = 100 set notify set MESSAGES on unset autologout umask 077 limit coredumpsize 0 setenv EDITOR vi setenv VISUAL vi setenv NAME 'Jim Plusquellic' setenv ENSCRIPT -fCourier10 setenv LD_LIBRARY_PATH /usr/local/X11/lib:/usr/local/openwin/lib:/cds/ldv/tools/lib:/usr/dt/ setenv OPENWINHOME /usr/openwin setenv XNLSPATH /usr/lib/X11/nls setenv XKEYSYMDB /usr/openwin/lib/XKeysymDB # =========================== CADENCE STUFF ========================= #---- Cadence user setup ---------------------------------------------- # see local/environment/environment for the full setup script we use at # N.C. State. setenv MANPATH . setenv CDS_DIR /cs/ic setenv LDV_DIR /cs/ldv setenv ICC_DIR /cs/icc setenv cdk_dir /cs/ic/local setenv UNAME /bin/uname #setenv CDS_LIC_QA_TesT lic.log # replace $CDS_DIR below with the root directory of your Cadence # installation, and $UNAME with the full path to your uname executable set base_dir = "$CDS_DIR" set uname = "$UNAME" # These are paths to the STREAM release directories (e.g. where IC 4.4.5 # lives); change these to fit your site setenv IC $base_dir setenv LDV $LDV_DIR setenv ICC $ICC_DIR setenv CDS $base_dir setenv CDS_LIC_FILE $CDS/share/license/license.80b051e6 setenv TERM $term # the lib path setup looks at $CDS_SITE/cdssetup to find the "setup.loc" # file (other things, like prependNCSUCDKInstallPath(), need $CDS_SITE # as well) # note that "$cdk_dir" below is the local/ directory created when you # untarred the CDK distribution setenv CDS_SITE $cdk_dir # if you did a "relocatable installation", # set USE_NCSU_CDK to access the CDK features setenv USE_NCSU_CDK # use analog netlister for everything setenv CDS_Netlisting_Mode Analog # Path additions alias prepend 'if (-d \!:2) if ("$\!:1" \!~ *"\!:2"*) setenv \!:1 "\!:2":${\!:1}' alias extend 'if (-d \!:2) if ("$\!:1" \!~ *"\!:2"*) setenv \!:1 ${\!:1}:\!:2' prepend PATH $LDV/tools/bin prepend PATH $LDV/tools/dfII/bin prepend PATH $IC/tools/bin prepend PATH $IC/tools/dfII/bin prepend PATH $IC/tools/concice/bin prepend PATH $IC/tools/dracula/bin prepend PATH $ICC/tools/bin prepend PATH $ICC/tools/dfII/bin prepend PATH $ICC/tools/iccraft/bin extend MANPATH $LDV/share/man extend MANPATH $IC/share/man extend MANPATH $IC/tools/man prepend LD_LIBRARY_PATH $LDV/tools/lib prepend LD_LIBRARY_PATH $IC/tools/lib # this next one sets a path to our wrapper script; the script # removes this PATH component to unmask the real executable. ONLY USE # THIS IF YOU"RE USING THE local/bin/dfiiwrapper.pl SCRIPT. setenv PATH $CDS/bin:$PATH #---- Start clsbd ----------------------------------------------------- # clsbd should always be running when we start cadence tools # note that "bar" is a simple suid root program that starts clsbd (the # theory is that if it's running as root, a userland process is less # likely to take it down, and it won't be killed when the user logs out. # we want this because clsbd needs to be running on all workstations # that run cadence tools so it can respond to queries about locks. see # chapter 11 of "Cadence Application Infrastructure User Guide" for more # info on cls.) if (( "`${uname} -sr`" == "SunOS 5.4") || ( "`${uname} -sr`" == "SunOS 5.5.1") || ( "`${uname} -sr`" == "SunOS 5.6")) then $CDS_SITE/bin/bar > /dev/null else $IC/tools/bin/clsbd > /dev/null endif unset base_dir uname #---- End of Cadence Setup -------------------------------------- # =========================== END CADENCE STUFF ========================= #DO NOT USE magic6.3 for extresis extraction !!!!! setenv CAD_DIR $HOME/cad setenv CAD_HOME $HOME/cad/magic/magic6.45/ setenv OCTTOOLS $CAD_DIR/Octtools5.0/sun4 alias log 'cd $CAD_DIR/log/log/lib;diglog' setenv PATH {$PATH}:$HOME/bin/sun4m_55:$HOME/bin/sgi:$HOME/fm2html/src setenv PATH {$PATH}:/usr/bin/X11:/usr/local/X11/bin setenv PATH {$PATH}:$CAD_DIR/magic/magic6.45/bin:/usr/openwin/bin setenv PATH {$PATH}:$CAD_DIR/spice3/bin/:$CAD_DIR/log/bin:/etc setenv PATH {$PATH}:/afs/umbc.edu/sgi_62/depot/gl/oldlocal/engr/magic/vhdl/bin setenv MANPATH .:$HOME/man:$CAD_DIR/spice3/man:/usr/man:/usr/local/man setenv MANPATH {$MANPATH}:$CAD_DIR/magic/man:/usr/local/X11/man setenv MANPATH {$MANPATH}:/usr/local/gnu/man:/cds/man setenv MANPATH {$MANPATH}:$HOME/fm2html/man setenv PITT_VHDL /usr/local setenv PRINTER cs1post cd . #setenv PATH {$PATH}:$OCTTOOLS/bin:$CAD_DIR/chipmunk/@sys/bin #setenv PATH {$PATH}:$CAD_DIR/vhdl/bin #setenv PATH {$PATH}:$CAD_DIR/xcanvas/bin #setenv PATH {$PATH}:/usr/local/frame/bin #setenv PATH {$PATH}:$CAD_DIR/sls/@sys/bin: #setenv PATH {$PATH}:$CAD_DIR/SNNSv4.1/xgui/bin/sun_solaris #setenv PATH {$PATH}:$CAD_DIR/space/bin:/usr/local/contrib/bin #setenv PATH {$PATH}:$CAD_DIR/attest/release/sparc_sunos4_33/bin #setenv PATH {$PATH}:$CAD_DIR/milef/@sys/bin #setenv PATH {$PATH}:/usr/local/share/frame/bin:. #setenv PATH {$PATH}:/usr/local/gnu/bin #set SYS = `/usr/afsws/bin/fs sysname | sed -e "s/'//g" -e "s/.* //"` #setenv TDX_ROOT /afs/cs/projects/optics/cad/attest/release/sparc_sunos4_33 #setenv STDCELL_LIB $CAD_DIR/OCTTOOLS/Octtools5.0/common/lib #setenv POWERVIEW /afs/cs.pitt.edu/projects/optics/powerview/@sys #setenv WDIR ~jimp/powerview/standard:${POWERVIEW}/standard #setenv XENVIRONMENT ~jimp #setenv XAPPLRESDIR ~jimp #setenv BIBFRAMEBIN /afs/cs.pitt.edu/usr0/don/public/bibframe/bf_test/bin #setenv BIBFRAMEREF /afs/cs.pitt.edu/usr0/jimp/phd/degree/refs/jimp #setenv BIBFRAMEBST mmlalpha #set path = ($path $BIBFRAMEBIN) #setenv FMHOME /usr/local/share/frame4.0 #CIS maker license server - eland.labs.cis.pitt.edu #alias magic 'magic6.45 -d XWIND7 -T auescmos' #alias magic 'magic6.44 -d XWIND7' #alias magic 'magic -d XWIND7' #alias magic 'magic6.3 -d XWIND7' #alias magic 'magic6.43 -d XWIND7' #setenv ICDPATH /afs/cs.pitt.edu/projects/optics/cad/space #setenv ICDUSERNAME jimp #setenv XGUILOADPATH $CAD_DIR/SNNSv4.1 # # This must be your very last lines. Please do not add anything after # these lines. if ( -f /usr/local/etc/system.cshrc ) then source /usr/local/etc/system.cshrc endif