# #################################################################### # Created by Encounter(R) RTL Compiler v08.10-s126_1 on Fri May 13 12:44:20 MDT 2011 # #################################################################### set sdc_version 1.7 set_units -capacitance 1000.0fF set_units -time 1000.0ps # Set the current design current_design AES create_clock -name "clk" -add -period 4.0 -waveform {0.0 2.0} [get_ports clk] group_path -weight 2 -name C2C -from [list \ [get_cells done_reg] \ [get_cells {text_out_reg[0]}] \ [get_cells {text_out_reg[100]}] \ [get_cells {text_out_reg[101]}] \ [get_cells {text_out_reg[102]}] \ [get_cells {text_out_reg[103]}] \ [get_cells {text_out_reg[104]}] \ [get_cells {text_out_reg[105]}] \ [get_cells {text_out_reg[106]}] \ [get_cells {text_out_reg[107]}] \ [get_cells {text_out_reg[108]}] \ [get_cells {text_out_reg[109]}] \ [get_cells {text_out_reg[10]}] \ [get_cells {text_out_reg[110]}] \ [get_cells {text_out_reg[111]}] \ [get_cells {text_out_reg[112]}] \ [get_cells {text_out_reg[113]}] \ [get_cells {text_out_reg[114]}] \ [get_cells {text_out_reg[115]}] \ [get_cells {text_out_reg[116]}] \ [get_cells {text_out_reg[117]}] \ [get_cells {text_out_reg[118]}] \ [get_cells {text_out_reg[119]}] \ [get_cells {text_out_reg[11]}] \ [get_cells {text_out_reg[120]}] \ [get_cells {text_out_reg[121]}] \ [get_cells {text_out_reg[122]}] \ [get_cells {text_out_reg[123]}] \ [get_cells {text_out_reg[124]}] \ [get_cells {text_out_reg[125]}] \ [get_cells {text_out_reg[126]}] \ [get_cells {text_out_reg[127]}] \ [get_cells {text_out_reg[12]}] \ [get_cells {text_out_reg[13]}] \ [get_cells {text_out_reg[14]}] \ [get_cells {text_out_reg[15]}] \ [get_cells {text_out_reg[16]}] \ [get_cells {text_out_reg[17]}] \ [get_cells {text_out_reg[18]}] \ [get_cells {text_out_reg[19]}] \ [get_cells {text_out_reg[1]}] \ [get_cells {text_out_reg[20]}] \ [get_cells {text_out_reg[21]}] \ [get_cells {text_out_reg[22]}] \ [get_cells {text_out_reg[23]}] \ [get_cells {text_out_reg[24]}] \ [get_cells {text_out_reg[25]}] \ [get_cells {text_out_reg[26]}] \ [get_cells {text_out_reg[27]}] \ [get_cells {text_out_reg[28]}] \ [get_cells {text_out_reg[29]}] \ [get_cells {text_out_reg[2]}] \ [get_cells {text_out_reg[30]}] \ [get_cells {text_out_reg[31]}] \ [get_cells {text_out_reg[32]}] \ [get_cells {text_out_reg[33]}] \ [get_cells {text_out_reg[34]}] \ [get_cells {text_out_reg[35]}] \ [get_cells {text_out_reg[36]}] \ [get_cells {text_out_reg[37]}] \ [get_cells {text_out_reg[38]}] \ [get_cells {text_out_reg[39]}] \ [get_cells {text_out_reg[3]}] \ [get_cells {text_out_reg[40]}] \ [get_cells {text_out_reg[41]}] \ [get_cells {text_out_reg[42]}] \ [get_cells {text_out_reg[43]}] \ [get_cells {text_out_reg[44]}] \ [get_cells {text_out_reg[45]}] \ [get_cells {text_out_reg[46]}] \ [get_cells {text_out_reg[47]}] \ [get_cells {text_out_reg[48]}] \ [get_cells {text_out_reg[49]}] \ [get_cells {text_out_reg[4]}] \ [get_cells {text_out_reg[50]}] \ [get_cells {text_out_reg[51]}] \ [get_cells {text_out_reg[52]}] \ [get_cells {text_out_reg[53]}] \ [get_cells {text_out_reg[54]}] \ [get_cells {text_out_reg[55]}] \ [get_cells {text_out_reg[56]}] \ [get_cells {text_out_reg[57]}] \ [get_cells {text_out_reg[58]}] \ [get_cells {text_out_reg[59]}] \ [get_cells {text_out_reg[5]}] \ [get_cells {text_out_reg[60]}] \ [get_cells {text_out_reg[61]}] \ [get_cells {text_out_reg[62]}] \ [get_cells {text_out_reg[63]}] \ [get_cells {text_out_reg[64]}] \ [get_cells {text_out_reg[65]}] \ [get_cells {text_out_reg[66]}] \ [get_cells {text_out_reg[67]}] \ [get_cells {text_out_reg[68]}] \ [get_cells {text_out_reg[69]}] \ [get_cells {text_out_reg[6]}] \ [get_cells {text_out_reg[70]}] \ [get_cells {text_out_reg[71]}] \ [get_cells {text_out_reg[72]}] \ [get_cells {text_out_reg[73]}] \ [get_cells {text_out_reg[74]}] \ [get_cells {text_out_reg[75]}] \ [get_cells {text_out_reg[76]}] \ [get_cells {text_out_reg[77]}] \ [get_cells {text_out_reg[78]}] \ [get_cells {text_out_reg[79]}] \ [get_cells {text_out_reg[7]}] \ [get_cells {text_out_reg[80]}] \ [get_cells {text_out_reg[81]}] \ [get_cells {text_out_reg[82]}] \ [get_cells {text_out_reg[83]}] \ [get_cells {text_out_reg[84]}] \ [get_cells {text_out_reg[85]}] \ [get_cells {text_out_reg[86]}] \ [get_cells {text_out_reg[87]}] \ [get_cells {text_out_reg[88]}] \ [get_cells {text_out_reg[89]}] \ [get_cells {text_out_reg[8]}] \ [get_cells {text_out_reg[90]}] \ [get_cells {text_out_reg[91]}] \ [get_cells {text_out_reg[92]}] \ [get_cells {text_out_reg[93]}] \ [get_cells {text_out_reg[94]}] \ [get_cells {text_out_reg[95]}] \ [get_cells {text_out_reg[96]}] \ [get_cells {text_out_reg[97]}] \ [get_cells {text_out_reg[98]}] \ [get_cells {text_out_reg[99]}] \ [get_cells {text_out_reg[9]}] \ [get_cells {sa10_reg[0]}] \ [get_cells {sa20_reg[0]}] \ [get_cells {sa23_reg[0]}] \ [get_cells {sa00_reg[0]}] \ [get_cells {sa00_reg[1]}] \ [get_cells {sa00_reg[2]}] \ [get_cells {sa00_reg[3]}] \ [get_cells {sa00_reg[4]}] \ [get_cells {sa00_reg[5]}] \ [get_cells {sa00_reg[6]}] \ [get_cells {sa00_reg[7]}] \ [get_cells {sa01_reg[0]}] \ [get_cells {sa01_reg[1]}] \ [get_cells {sa01_reg[2]}] \ [get_cells {sa01_reg[3]}] \ [get_cells {sa01_reg[4]}] \ [get_cells {sa01_reg[5]}] \ [get_cells {sa01_reg[6]}] \ [get_cells {sa01_reg[7]}] \ [get_cells {sa02_reg[0]}] \ [get_cells {sa02_reg[1]}] \ [get_cells {sa02_reg[2]}] \ [get_cells {sa02_reg[3]}] \ [get_cells {sa02_reg[4]}] \ [get_cells {sa02_reg[5]}] \ [get_cells {sa02_reg[6]}] \ [get_cells {sa02_reg[7]}] \ [get_cells {sa03_reg[0]}] \ [get_cells {sa03_reg[1]}] \ [get_cells {sa03_reg[2]}] \ [get_cells {sa03_reg[3]}] \ [get_cells {sa03_reg[4]}] \ [get_cells {sa03_reg[5]}] \ [get_cells {sa03_reg[6]}] \ [get_cells {sa03_reg[7]}] \ [get_cells {sa10_reg[1]}] \ [get_cells {sa10_reg[2]}] \ [get_cells {sa10_reg[3]}] \ [get_cells {sa10_reg[4]}] \ [get_cells {sa10_reg[5]}] \ [get_cells {sa10_reg[6]}] \ [get_cells {sa10_reg[7]}] \ [get_cells {sa11_reg[0]}] \ [get_cells {sa11_reg[1]}] \ [get_cells {sa11_reg[2]}] \ [get_cells {sa11_reg[3]}] \ [get_cells {sa11_reg[4]}] \ [get_cells {sa11_reg[5]}] \ [get_cells {sa11_reg[6]}] \ [get_cells {sa11_reg[7]}] \ [get_cells {sa12_reg[0]}] \ [get_cells {sa12_reg[1]}] \ [get_cells {sa12_reg[2]}] \ [get_cells {sa12_reg[3]}] \ [get_cells {sa12_reg[4]}] \ [get_cells {sa12_reg[5]}] \ [get_cells {sa12_reg[6]}] \ [get_cells {sa12_reg[7]}] \ [get_cells {sa13_reg[0]}] \ [get_cells {sa13_reg[1]}] \ [get_cells {sa13_reg[2]}] \ [get_cells {sa13_reg[3]}] \ [get_cells {sa13_reg[4]}] \ [get_cells {sa13_reg[5]}] \ [get_cells {sa13_reg[6]}] \ [get_cells {sa13_reg[7]}] \ [get_cells {sa20_reg[1]}] \ [get_cells {sa20_reg[2]}] \ [get_cells {sa20_reg[3]}] \ [get_cells {sa20_reg[4]}] \ [get_cells {sa20_reg[5]}] \ [get_cells {sa20_reg[6]}] \ [get_cells {sa20_reg[7]}] \ [get_cells {sa21_reg[0]}] \ [get_cells {sa21_reg[1]}] \ [get_cells {sa21_reg[2]}] \ [get_cells {sa21_reg[3]}] \ [get_cells {sa21_reg[4]}] \ [get_cells {sa21_reg[5]}] \ [get_cells {sa21_reg[6]}] \ [get_cells {sa21_reg[7]}] \ [get_cells {sa22_reg[0]}] \ [get_cells {sa22_reg[1]}] \ [get_cells {sa22_reg[2]}] \ [get_cells {sa22_reg[3]}] \ [get_cells {sa22_reg[4]}] \ [get_cells {sa22_reg[5]}] \ [get_cells {sa22_reg[6]}] \ [get_cells {sa22_reg[7]}] \ [get_cells {sa23_reg[1]}] \ [get_cells {sa23_reg[2]}] \ [get_cells {sa23_reg[3]}] \ [get_cells ld_r_reg] \ [get_cells {text_in_r_reg[104]}] \ [get_cells {text_in_r_reg[8]}] \ [get_cells {text_in_r_reg[112]}] \ [get_cells {dcnt_next_reg[0]}] \ [get_cells {dcnt_next_reg[1]}] \ [get_cells {dcnt_next_reg[2]}] \ [get_cells {dcnt_next_reg[3]}] \ [get_cells {sa23_reg[4]}] \ [get_cells {sa23_reg[5]}] \ [get_cells {sa23_reg[6]}] \ [get_cells {sa23_reg[7]}] \ [get_cells {sa30_reg[0]}] \ [get_cells {sa30_reg[1]}] \ [get_cells {sa30_reg[2]}] \ [get_cells {sa30_reg[3]}] \ [get_cells {sa30_reg[4]}] \ [get_cells {sa30_reg[5]}] \ [get_cells {sa30_reg[6]}] \ [get_cells {sa30_reg[7]}] \ [get_cells {sa31_reg[0]}] \ [get_cells {sa31_reg[1]}] \ [get_cells {sa31_reg[2]}] \ [get_cells {sa31_reg[3]}] \ [get_cells {sa31_reg[4]}] \ [get_cells {sa31_reg[5]}] \ [get_cells {sa31_reg[6]}] \ [get_cells {sa31_reg[7]}] \ [get_cells {sa32_reg[0]}] \ [get_cells {sa32_reg[1]}] \ [get_cells {sa32_reg[2]}] \ [get_cells {sa32_reg[3]}] \ [get_cells {sa32_reg[4]}] \ [get_cells {sa32_reg[5]}] \ [get_cells {sa32_reg[6]}] \ [get_cells {sa32_reg[7]}] \ [get_cells {sa33_reg[0]}] \ [get_cells {sa33_reg[1]}] \ [get_cells {sa33_reg[2]}] \ [get_cells {sa33_reg[3]}] \ [get_cells {sa33_reg[4]}] \ [get_cells {sa33_reg[5]}] \ [get_cells {sa33_reg[6]}] \ [get_cells {sa33_reg[7]}] \ [get_cells {text_in_r_reg[120]}] \ [get_cells {text_in_r_reg[121]}] \ [get_cells {text_in_r_reg[122]}] \ [get_cells {text_in_r_reg[123]}] \ [get_cells {text_in_r_reg[124]}] \ [get_cells {text_in_r_reg[125]}] \ [get_cells {text_in_r_reg[126]}] \ [get_cells {text_in_r_reg[127]}] \ [get_cells {text_in_r_reg[88]}] \ [get_cells {text_in_r_reg[89]}] \ [get_cells {text_in_r_reg[90]}] \ [get_cells {text_in_r_reg[91]}] \ [get_cells {text_in_r_reg[92]}] \ [get_cells {text_in_r_reg[93]}] \ [get_cells {text_in_r_reg[94]}] \ [get_cells {text_in_r_reg[95]}] \ [get_cells {text_in_r_reg[56]}] \ [get_cells {text_in_r_reg[57]}] \ [get_cells {text_in_r_reg[58]}] \ [get_cells {text_in_r_reg[59]}] \ [get_cells {text_in_r_reg[60]}] \ [get_cells {text_in_r_reg[61]}] \ [get_cells {text_in_r_reg[62]}] \ [get_cells {text_in_r_reg[63]}] \ [get_cells {text_in_r_reg[24]}] \ [get_cells {text_in_r_reg[25]}] \ [get_cells {text_in_r_reg[26]}] \ [get_cells {text_in_r_reg[27]}] \ [get_cells {text_in_r_reg[28]}] \ [get_cells {text_in_r_reg[29]}] \ [get_cells {text_in_r_reg[30]}] \ [get_cells {text_in_r_reg[31]}] \ [get_cells {text_in_r_reg[113]}] \ [get_cells {text_in_r_reg[114]}] \ [get_cells {text_in_r_reg[115]}] \ [get_cells {text_in_r_reg[116]}] \ [get_cells {text_in_r_reg[117]}] \ [get_cells {text_in_r_reg[118]}] \ [get_cells {text_in_r_reg[119]}] \ [get_cells {text_in_r_reg[80]}] \ [get_cells {text_in_r_reg[81]}] \ [get_cells {text_in_r_reg[82]}] \ [get_cells {text_in_r_reg[83]}] \ [get_cells {text_in_r_reg[84]}] \ [get_cells {text_in_r_reg[85]}] \ [get_cells {text_in_r_reg[86]}] \ [get_cells {text_in_r_reg[87]}] \ [get_cells {text_in_r_reg[48]}] \ [get_cells {text_in_r_reg[49]}] \ [get_cells {text_in_r_reg[50]}] \ [get_cells {text_in_r_reg[51]}] \ [get_cells {text_in_r_reg[52]}] \ [get_cells {text_in_r_reg[53]}] \ [get_cells {text_in_r_reg[54]}] \ [get_cells {text_in_r_reg[55]}] \ [get_cells {text_in_r_reg[16]}] \ [get_cells {text_in_r_reg[17]}] \ [get_cells {text_in_r_reg[18]}] \ [get_cells {text_in_r_reg[19]}] \ [get_cells {text_in_r_reg[20]}] \ [get_cells {text_in_r_reg[21]}] \ [get_cells {text_in_r_reg[22]}] \ [get_cells {text_in_r_reg[23]}] \ [get_cells {text_in_r_reg[105]}] \ [get_cells {text_in_r_reg[106]}] \ [get_cells {text_in_r_reg[107]}] \ [get_cells {text_in_r_reg[108]}] \ [get_cells {text_in_r_reg[109]}] \ [get_cells {text_in_r_reg[110]}] \ [get_cells {text_in_r_reg[111]}] \ [get_cells {text_in_r_reg[72]}] \ [get_cells {text_in_r_reg[73]}] \ [get_cells {text_in_r_reg[74]}] \ [get_cells {text_in_r_reg[75]}] \ [get_cells {text_in_r_reg[76]}] \ [get_cells {text_in_r_reg[77]}] \ [get_cells {text_in_r_reg[78]}] \ [get_cells {text_in_r_reg[79]}] \ [get_cells {text_in_r_reg[40]}] \ [get_cells {text_in_r_reg[41]}] \ [get_cells {text_in_r_reg[42]}] \ [get_cells {text_in_r_reg[43]}] \ [get_cells {text_in_r_reg[44]}] \ [get_cells {text_in_r_reg[45]}] \ [get_cells {text_in_r_reg[46]}] \ [get_cells {text_in_r_reg[47]}] \ [get_cells {text_in_r_reg[9]}] \ [get_cells {text_in_r_reg[10]}] \ [get_cells {text_in_r_reg[11]}] \ [get_cells {text_in_r_reg[12]}] \ [get_cells {text_in_r_reg[13]}] \ [get_cells {text_in_r_reg[14]}] \ [get_cells {text_in_r_reg[15]}] \ [get_cells {text_in_r_reg[96]}] \ [get_cells {text_in_r_reg[97]}] \ [get_cells {text_in_r_reg[98]}] \ [get_cells {text_in_r_reg[99]}] \ [get_cells {text_in_r_reg[100]}] \ [get_cells {text_in_r_reg[101]}] \ [get_cells {text_in_r_reg[102]}] \ [get_cells {text_in_r_reg[103]}] \ [get_cells {text_in_r_reg[64]}] \ [get_cells {text_in_r_reg[65]}] \ [get_cells {text_in_r_reg[66]}] \ [get_cells {text_in_r_reg[67]}] \ [get_cells {text_in_r_reg[68]}] \ [get_cells {text_in_r_reg[69]}] \ [get_cells {text_in_r_reg[70]}] \ [get_cells {text_in_r_reg[71]}] \ [get_cells {text_in_r_reg[32]}] \ [get_cells {text_in_r_reg[33]}] \ [get_cells {text_in_r_reg[34]}] \ [get_cells {text_in_r_reg[35]}] \ [get_cells {text_in_r_reg[36]}] \ [get_cells {text_in_r_reg[37]}] \ [get_cells {text_in_r_reg[38]}] \ [get_cells {text_in_r_reg[39]}] \ [get_cells {text_in_r_reg[0]}] \ [get_cells {text_in_r_reg[1]}] \ [get_cells {text_in_r_reg[2]}] \ [get_cells {text_in_r_reg[3]}] \ [get_cells {text_in_r_reg[4]}] \ [get_cells {text_in_r_reg[5]}] \ [get_cells {text_in_r_reg[6]}] \ [get_cells {text_in_r_reg[7]}] ] -to [list \ [get_cells done_reg] \ [get_cells {text_out_reg[0]}] \ [get_cells {text_out_reg[100]}] \ [get_cells {text_out_reg[101]}] \ [get_cells {text_out_reg[102]}] \ [get_cells {text_out_reg[103]}] \ [get_cells {text_out_reg[104]}] \ [get_cells {text_out_reg[105]}] \ [get_cells {text_out_reg[106]}] \ [get_cells {text_out_reg[107]}] \ [get_cells {text_out_reg[108]}] \ [get_cells {text_out_reg[109]}] \ [get_cells {text_out_reg[10]}] \ [get_cells {text_out_reg[110]}] \ [get_cells {text_out_reg[111]}] \ [get_cells {text_out_reg[112]}] \ [get_cells {text_out_reg[113]}] \ [get_cells {text_out_reg[114]}] \ [get_cells {text_out_reg[115]}] \ [get_cells {text_out_reg[116]}] \ [get_cells {text_out_reg[117]}] \ [get_cells {text_out_reg[118]}] \ [get_cells {text_out_reg[119]}] \ [get_cells {text_out_reg[11]}] \ [get_cells {text_out_reg[120]}] \ [get_cells {text_out_reg[121]}] \ [get_cells {text_out_reg[122]}] \ [get_cells {text_out_reg[123]}] \ [get_cells {text_out_reg[124]}] \ [get_cells {text_out_reg[125]}] \ [get_cells {text_out_reg[126]}] \ [get_cells {text_out_reg[127]}] \ [get_cells {text_out_reg[12]}] \ [get_cells {text_out_reg[13]}] \ [get_cells {text_out_reg[14]}] \ [get_cells {text_out_reg[15]}] \ [get_cells {text_out_reg[16]}] \ [get_cells {text_out_reg[17]}] \ [get_cells {text_out_reg[18]}] \ [get_cells {text_out_reg[19]}] \ [get_cells {text_out_reg[1]}] \ [get_cells {text_out_reg[20]}] \ [get_cells {text_out_reg[21]}] \ [get_cells {text_out_reg[22]}] \ [get_cells {text_out_reg[23]}] \ [get_cells {text_out_reg[24]}] \ [get_cells {text_out_reg[25]}] \ [get_cells {text_out_reg[26]}] \ [get_cells {text_out_reg[27]}] \ [get_cells {text_out_reg[28]}] \ [get_cells {text_out_reg[29]}] \ [get_cells {text_out_reg[2]}] \ [get_cells {text_out_reg[30]}] \ [get_cells {text_out_reg[31]}] \ [get_cells {text_out_reg[32]}] \ [get_cells {text_out_reg[33]}] \ [get_cells {text_out_reg[34]}] \ [get_cells {text_out_reg[35]}] \ [get_cells {text_out_reg[36]}] \ [get_cells {text_out_reg[37]}] \ [get_cells {text_out_reg[38]}] \ [get_cells {text_out_reg[39]}] \ [get_cells {text_out_reg[3]}] \ [get_cells {text_out_reg[40]}] \ [get_cells {text_out_reg[41]}] \ [get_cells {text_out_reg[42]}] \ [get_cells {text_out_reg[43]}] \ [get_cells {text_out_reg[44]}] \ [get_cells {text_out_reg[45]}] \ [get_cells {text_out_reg[46]}] \ [get_cells {text_out_reg[47]}] \ [get_cells {text_out_reg[48]}] \ [get_cells {text_out_reg[49]}] \ [get_cells {text_out_reg[4]}] \ [get_cells {text_out_reg[50]}] \ [get_cells {text_out_reg[51]}] \ [get_cells {text_out_reg[52]}] \ [get_cells {text_out_reg[53]}] \ [get_cells {text_out_reg[54]}] \ [get_cells {text_out_reg[55]}] \ [get_cells {text_out_reg[56]}] \ [get_cells {text_out_reg[57]}] \ [get_cells {text_out_reg[58]}] \ [get_cells {text_out_reg[59]}] \ [get_cells {text_out_reg[5]}] \ [get_cells {text_out_reg[60]}] \ [get_cells {text_out_reg[61]}] \ [get_cells {text_out_reg[62]}] \ [get_cells {text_out_reg[63]}] \ [get_cells {text_out_reg[64]}] \ [get_cells {text_out_reg[65]}] \ [get_cells {text_out_reg[66]}] \ [get_cells {text_out_reg[67]}] \ [get_cells {text_out_reg[68]}] \ [get_cells {text_out_reg[69]}] \ [get_cells {text_out_reg[6]}] \ [get_cells {text_out_reg[70]}] \ [get_cells {text_out_reg[71]}] \ [get_cells {text_out_reg[72]}] \ [get_cells {text_out_reg[73]}] \ [get_cells {text_out_reg[74]}] \ [get_cells {text_out_reg[75]}] \ [get_cells {text_out_reg[76]}] \ [get_cells {text_out_reg[77]}] \ [get_cells {text_out_reg[78]}] \ [get_cells {text_out_reg[79]}] \ [get_cells {text_out_reg[7]}] \ [get_cells {text_out_reg[80]}] \ [get_cells {text_out_reg[81]}] \ [get_cells {text_out_reg[82]}] \ [get_cells {text_out_reg[83]}] \ [get_cells {text_out_reg[84]}] \ [get_cells {text_out_reg[85]}] \ [get_cells {text_out_reg[86]}] \ [get_cells {text_out_reg[87]}] \ [get_cells {text_out_reg[88]}] \ [get_cells {text_out_reg[89]}] \ [get_cells {text_out_reg[8]}] \ [get_cells {text_out_reg[90]}] \ [get_cells {text_out_reg[91]}] \ [get_cells {text_out_reg[92]}] \ [get_cells {text_out_reg[93]}] \ [get_cells {text_out_reg[94]}] \ [get_cells {text_out_reg[95]}] \ [get_cells {text_out_reg[96]}] \ [get_cells {text_out_reg[97]}] \ [get_cells {text_out_reg[98]}] \ [get_cells {text_out_reg[99]}] \ [get_cells {text_out_reg[9]}] \ [get_cells {sa10_reg[0]}] \ [get_cells {sa20_reg[0]}] \ [get_cells {sa23_reg[0]}] \ [get_cells {sa00_reg[0]}] \ [get_cells {sa00_reg[1]}] \ [get_cells {sa00_reg[2]}] \ [get_cells {sa00_reg[3]}] \ [get_cells {sa00_reg[4]}] \ [get_cells {sa00_reg[5]}] \ [get_cells {sa00_reg[6]}] \ [get_cells {sa00_reg[7]}] \ [get_cells {sa01_reg[0]}] \ [get_cells {sa01_reg[1]}] \ [get_cells {sa01_reg[2]}] \ [get_cells {sa01_reg[3]}] \ [get_cells {sa01_reg[4]}] \ [get_cells {sa01_reg[5]}] \ [get_cells {sa01_reg[6]}] \ [get_cells {sa01_reg[7]}] \ [get_cells {sa02_reg[0]}] \ [get_cells {sa02_reg[1]}] \ [get_cells {sa02_reg[2]}] \ [get_cells {sa02_reg[3]}] \ [get_cells {sa02_reg[4]}] \ [get_cells {sa02_reg[5]}] \ [get_cells {sa02_reg[6]}] \ [get_cells {sa02_reg[7]}] \ [get_cells {sa03_reg[0]}] \ [get_cells {sa03_reg[1]}] \ [get_cells {sa03_reg[2]}] \ [get_cells {sa03_reg[3]}] \ [get_cells {sa03_reg[4]}] \ [get_cells {sa03_reg[5]}] \ [get_cells {sa03_reg[6]}] \ [get_cells {sa03_reg[7]}] \ [get_cells {sa10_reg[1]}] \ [get_cells {sa10_reg[2]}] \ [get_cells {sa10_reg[3]}] \ [get_cells {sa10_reg[4]}] \ [get_cells {sa10_reg[5]}] \ [get_cells {sa10_reg[6]}] \ [get_cells {sa10_reg[7]}] \ [get_cells {sa11_reg[0]}] \ [get_cells {sa11_reg[1]}] \ [get_cells {sa11_reg[2]}] \ [get_cells {sa11_reg[3]}] \ [get_cells {sa11_reg[4]}] \ [get_cells {sa11_reg[5]}] \ [get_cells {sa11_reg[6]}] \ [get_cells {sa11_reg[7]}] \ [get_cells {sa12_reg[0]}] \ [get_cells {sa12_reg[1]}] \ [get_cells {sa12_reg[2]}] \ [get_cells {sa12_reg[3]}] \ [get_cells {sa12_reg[4]}] \ [get_cells {sa12_reg[5]}] \ [get_cells {sa12_reg[6]}] \ [get_cells {sa12_reg[7]}] \ [get_cells {sa13_reg[0]}] \ [get_cells {sa13_reg[1]}] \ [get_cells {sa13_reg[2]}] \ [get_cells {sa13_reg[3]}] \ [get_cells {sa13_reg[4]}] \ [get_cells {sa13_reg[5]}] \ [get_cells {sa13_reg[6]}] \ [get_cells {sa13_reg[7]}] \ [get_cells {sa20_reg[1]}] \ [get_cells {sa20_reg[2]}] \ [get_cells {sa20_reg[3]}] \ [get_cells {sa20_reg[4]}] \ [get_cells {sa20_reg[5]}] \ [get_cells {sa20_reg[6]}] \ [get_cells {sa20_reg[7]}] \ [get_cells {sa21_reg[0]}] \ [get_cells {sa21_reg[1]}] \ [get_cells {sa21_reg[2]}] \ [get_cells {sa21_reg[3]}] \ [get_cells {sa21_reg[4]}] \ [get_cells {sa21_reg[5]}] \ [get_cells {sa21_reg[6]}] \ [get_cells {sa21_reg[7]}] \ [get_cells {sa22_reg[0]}] \ [get_cells {sa22_reg[1]}] \ [get_cells {sa22_reg[2]}] \ [get_cells {sa22_reg[3]}] \ [get_cells {sa22_reg[4]}] \ [get_cells {sa22_reg[5]}] \ [get_cells {sa22_reg[6]}] \ [get_cells {sa22_reg[7]}] \ [get_cells {sa23_reg[1]}] \ [get_cells {sa23_reg[2]}] \ [get_cells {sa23_reg[3]}] \ [get_cells ld_r_reg] \ [get_cells {text_in_r_reg[104]}] \ [get_cells {text_in_r_reg[8]}] \ [get_cells {text_in_r_reg[112]}] \ [get_cells {dcnt_next_reg[0]}] \ [get_cells {dcnt_next_reg[1]}] \ [get_cells {dcnt_next_reg[2]}] \ [get_cells {dcnt_next_reg[3]}] \ [get_cells {sa23_reg[4]}] \ [get_cells {sa23_reg[5]}] \ [get_cells {sa23_reg[6]}] \ [get_cells {sa23_reg[7]}] \ [get_cells {sa30_reg[0]}] \ [get_cells {sa30_reg[1]}] \ [get_cells {sa30_reg[2]}] \ [get_cells {sa30_reg[3]}] \ [get_cells {sa30_reg[4]}] \ [get_cells {sa30_reg[5]}] \ [get_cells {sa30_reg[6]}] \ [get_cells {sa30_reg[7]}] \ [get_cells {sa31_reg[0]}] \ [get_cells {sa31_reg[1]}] \ [get_cells {sa31_reg[2]}] \ [get_cells {sa31_reg[3]}] \ [get_cells {sa31_reg[4]}] \ [get_cells {sa31_reg[5]}] \ [get_cells {sa31_reg[6]}] \ [get_cells {sa31_reg[7]}] \ [get_cells {sa32_reg[0]}] \ [get_cells {sa32_reg[1]}] \ [get_cells {sa32_reg[2]}] \ [get_cells {sa32_reg[3]}] \ [get_cells {sa32_reg[4]}] \ [get_cells {sa32_reg[5]}] \ [get_cells {sa32_reg[6]}] \ [get_cells {sa32_reg[7]}] \ [get_cells {sa33_reg[0]}] \ [get_cells {sa33_reg[1]}] \ [get_cells {sa33_reg[2]}] \ [get_cells {sa33_reg[3]}] \ [get_cells {sa33_reg[4]}] \ [get_cells {sa33_reg[5]}] \ [get_cells {sa33_reg[6]}] \ [get_cells {sa33_reg[7]}] \ [get_cells {text_in_r_reg[120]}] \ [get_cells {text_in_r_reg[121]}] \ [get_cells {text_in_r_reg[122]}] \ [get_cells {text_in_r_reg[123]}] \ [get_cells {text_in_r_reg[124]}] \ [get_cells {text_in_r_reg[125]}] \ [get_cells {text_in_r_reg[126]}] \ [get_cells {text_in_r_reg[127]}] \ [get_cells {text_in_r_reg[88]}] \ [get_cells {text_in_r_reg[89]}] \ [get_cells {text_in_r_reg[90]}] \ [get_cells {text_in_r_reg[91]}] \ [get_cells {text_in_r_reg[92]}] \ [get_cells {text_in_r_reg[93]}] \ [get_cells {text_in_r_reg[94]}] \ [get_cells {text_in_r_reg[95]}] \ [get_cells {text_in_r_reg[56]}] \ [get_cells {text_in_r_reg[57]}] \ [get_cells {text_in_r_reg[58]}] \ [get_cells {text_in_r_reg[59]}] \ [get_cells {text_in_r_reg[60]}] \ [get_cells {text_in_r_reg[61]}] \ [get_cells {text_in_r_reg[62]}] \ [get_cells {text_in_r_reg[63]}] \ [get_cells {text_in_r_reg[24]}] \ [get_cells {text_in_r_reg[25]}] \ [get_cells {text_in_r_reg[26]}] \ [get_cells {text_in_r_reg[27]}] \ [get_cells {text_in_r_reg[28]}] \ [get_cells {text_in_r_reg[29]}] \ [get_cells {text_in_r_reg[30]}] \ [get_cells {text_in_r_reg[31]}] \ [get_cells {text_in_r_reg[113]}] \ [get_cells {text_in_r_reg[114]}] \ [get_cells {text_in_r_reg[115]}] \ [get_cells {text_in_r_reg[116]}] \ [get_cells {text_in_r_reg[117]}] \ [get_cells {text_in_r_reg[118]}] \ [get_cells {text_in_r_reg[119]}] \ [get_cells {text_in_r_reg[80]}] \ [get_cells {text_in_r_reg[81]}] \ [get_cells {text_in_r_reg[82]}] \ [get_cells {text_in_r_reg[83]}] \ [get_cells {text_in_r_reg[84]}] \ [get_cells {text_in_r_reg[85]}] \ [get_cells {text_in_r_reg[86]}] \ [get_cells {text_in_r_reg[87]}] \ [get_cells {text_in_r_reg[48]}] \ [get_cells {text_in_r_reg[49]}] \ [get_cells {text_in_r_reg[50]}] \ [get_cells {text_in_r_reg[51]}] \ [get_cells {text_in_r_reg[52]}] \ [get_cells {text_in_r_reg[53]}] \ [get_cells {text_in_r_reg[54]}] \ [get_cells {text_in_r_reg[55]}] \ [get_cells {text_in_r_reg[16]}] \ [get_cells {text_in_r_reg[17]}] \ [get_cells {text_in_r_reg[18]}] \ [get_cells {text_in_r_reg[19]}] \ [get_cells {text_in_r_reg[20]}] \ [get_cells {text_in_r_reg[21]}] \ [get_cells {text_in_r_reg[22]}] \ [get_cells {text_in_r_reg[23]}] \ [get_cells {text_in_r_reg[105]}] \ [get_cells {text_in_r_reg[106]}] \ [get_cells {text_in_r_reg[107]}] \ [get_cells {text_in_r_reg[108]}] \ [get_cells {text_in_r_reg[109]}] \ [get_cells {text_in_r_reg[110]}] \ [get_cells {text_in_r_reg[111]}] \ [get_cells {text_in_r_reg[72]}] \ [get_cells {text_in_r_reg[73]}] \ [get_cells {text_in_r_reg[74]}] \ [get_cells {text_in_r_reg[75]}] \ [get_cells {text_in_r_reg[76]}] \ [get_cells {text_in_r_reg[77]}] \ [get_cells {text_in_r_reg[78]}] \ [get_cells {text_in_r_reg[79]}] \ [get_cells {text_in_r_reg[40]}] \ [get_cells {text_in_r_reg[41]}] \ [get_cells {text_in_r_reg[42]}] \ [get_cells {text_in_r_reg[43]}] \ [get_cells {text_in_r_reg[44]}] \ [get_cells {text_in_r_reg[45]}] \ [get_cells {text_in_r_reg[46]}] \ [get_cells {text_in_r_reg[47]}] \ [get_cells {text_in_r_reg[9]}] \ [get_cells {text_in_r_reg[10]}] \ [get_cells {text_in_r_reg[11]}] \ [get_cells {text_in_r_reg[12]}] \ [get_cells {text_in_r_reg[13]}] \ [get_cells {text_in_r_reg[14]}] \ [get_cells {text_in_r_reg[15]}] \ [get_cells {text_in_r_reg[96]}] \ [get_cells {text_in_r_reg[97]}] \ [get_cells {text_in_r_reg[98]}] \ [get_cells {text_in_r_reg[99]}] \ [get_cells {text_in_r_reg[100]}] \ [get_cells {text_in_r_reg[101]}] \ [get_cells {text_in_r_reg[102]}] \ [get_cells {text_in_r_reg[103]}] \ [get_cells {text_in_r_reg[64]}] \ [get_cells {text_in_r_reg[65]}] \ [get_cells {text_in_r_reg[66]}] \ [get_cells {text_in_r_reg[67]}] \ [get_cells {text_in_r_reg[68]}] \ [get_cells {text_in_r_reg[69]}] \ [get_cells {text_in_r_reg[70]}] \ [get_cells {text_in_r_reg[71]}] \ [get_cells {text_in_r_reg[32]}] \ [get_cells {text_in_r_reg[33]}] \ [get_cells {text_in_r_reg[34]}] \ [get_cells {text_in_r_reg[35]}] \ [get_cells {text_in_r_reg[36]}] \ [get_cells {text_in_r_reg[37]}] \ [get_cells {text_in_r_reg[38]}] \ [get_cells {text_in_r_reg[39]}] \ [get_cells {text_in_r_reg[0]}] \ [get_cells {text_in_r_reg[1]}] \ [get_cells {text_in_r_reg[2]}] \ [get_cells {text_in_r_reg[3]}] \ [get_cells {text_in_r_reg[4]}] \ [get_cells {text_in_r_reg[5]}] \ [get_cells {text_in_r_reg[6]}] \ [get_cells {text_in_r_reg[7]}] ] set_clock_gating_check -setup 0.0 set_ideal_network [get_ports SCLK_A_1] set_ideal_network [get_ports SCLK_B_1] set_wire_load_mode "enclosed" set_dont_use [get_lib_cells std_cells/BUFX16] set_dont_use [get_lib_cells std_cells/BUFX32] set_dont_use [get_lib_cells std_cells/INVX24] set_dont_use [get_lib_cells std_cells/INVX32] set_dont_use [get_lib_cells std_cells/INVX16] ## List of unsupported SDC commands ##